Если диссертант следует скурпулезно и дотошно всем эти правилам, ему даже не обязательно нужен руководитель.
Программа диссертационного исследования. Публикация статьи. Автореферат.
Диссертация — это, во-первых, полноценное научное исследование, во-вторых, научно-квалификационная работа (по определению министерства образования), в-третьих, это...
беспроводные технологии 3G
Мультимедиа Приложения для радаров и спутников Медицинские приложения Редактирование и создание изображений Бытовая техника Специализированные сигнальные процессоры могут реализовать любую...
%UNTITLED Summary of this function goes here
% Detailed explanation goes here
% p(v,t,m) = sum k, от 0 до m-1: (f(k,v)*(t-v)^k)/k!
% where f (k, v) — any functions in R space
end
library ieee;
use ieee.std_logic_1164.all;
entity image_processing is
GENERIC(
NUM_BIT: POSITIVE := 1
--NUM_BIT: POSITIVE := N_BITS_IN;
--NUM_BIT_FILT: POSITIVE := N_BIT_FILT
);
Port ( clk: in STD_LOGIC;
x_real: in std_logic_vector(0 to 63);
x_imag: in std_logic_vector(0 to 63);
h_real: in std_logic_vector(0 to 31);
h_imag: in std_logic_vector(0 to 31);
y_real: out std_logic_vector(0 to 127);
...
Введение
Применение теории цифровой обработки сигнала в современных приложениях
1.1. Основные принципы ЦОС и история возникновения
1.2. Алгоритмы ЦОС
1.2.1. Разложение Чолески
1.2.2. Преобразование Фурье и его разновидности
1.2.3. Компилятор Витерби
1.2.4. Коэффициенты Рида-Соломона
1.2.5. Фильтры
1.2.5.1. КИХ
1.2.5.2. БИХ
1.3. Основные принципы работы...
Аннотация: Рассмотрим наиболее известные и широко применяемые методы, алгоритмы и преобразования ЦОС на настоящий момент. Некоторым из них достаточно много лет, но они не потеряли актуальности до сих пор и применяются в широком круге разных направлений вычислительной техники. Многие методы ЦОС более углублены в математику и выведены строго на основе законов,...
- « Previous
- 1
- 2
- Next »