R Dsp_fpga » Обсуждения


Bookmark and Share




Вариант оглавления или плана научной работы. Он же задает направление чтобы не слишком отклоняться в сторону

апр 12, 2019 | 12:04
Оглавление
 
Введение
 
    Применение теории цифровой обработки сигнала в современных приложениях


1.1. Основные принципы ЦОС и история возникновения
 
1.2. Алгоритмы ЦОС
1.2.1. Разложение Чолески
1.2.2. Преобразование Фурье и его разновидности
1.2.3. Компилятор Витерби
1.2.4. Коэффициенты Рида-Соломона
1.2.5. Фильтры
1.2.5.1. КИХ
1.2.5.2. БИХ
 
1.3. Основные принципы работы устройств, использующих ЦОС
1.3.1. Радар
1.3.2. Распознавание изображения
1.3.3. Передача голоса через интернет (VoIP)
1.3.4. Беспроводной интерфейс 3G
 
    Проектирование и реализация макета для проведения лабораторных работ


2.1. ЕСКД
2.1.1. Схемы
2.1.2. Схемы питания
2.1.3. Перечни элементов
2.1.4. Гербер файлы, расположение элементов
 
     
      Роль ПЛИС в макете, обоснование выбора кристалла

      Трудности и способы их решения в процессе проектирования



2.3.1. Расположение фильтрующих конденсаторов вблизи кристалла
2.3.2. Противоречие вывода с BGA и уменьшения числа слоев
2.3.4. Выбор толщины электрической трассы, исходя из задач уменьшения помех и минимизации незанятого пространства
2.3.5. Принципы расположения микросхем для минимизации их влияния друг на друга
 
    Программы для ПЛИС и их реализация на VHDL


3.1. Базовые схемотехнические примитивы
3.1.1. и, или, исключающее или, инвертор, защелка
3.1.2. 2-и-не, 2-или-не,
3.1.3. 3-и, 3-или, 3-исключающее или, 3-и-не
3.1.4. 4-и,4-или, 4-и-не,4-или-не
3.1.5. 5-и, 5-или, 5-и-не,5-или-не...
3.1.6.триггеры (шмидта, D-триггер)
3.1.7.регистры (с параллельным/последовательным входом/выходом, со сдвигом вправо/влево), дешифраторы/шифраторы, мультиплексоры/демультиплексоры
3.1.8. ОЗУ, АЛУ
 
 
 
3.2. Реализация компилятора Рида-Соломона
3.3. Принцип работы с макетом, используя набор программ
...
Заключение
Список литературы

Нет комментариев  

Вам необходимо зайти или зарегистрироваться для комментирования